iverilog 的使用
这篇笔记介绍了linux下verilog编译工具iverilog的基础使用。
编译Verilog文件
1 | iverilog -o output_file file1.v file2.v ... |
-o output_file: 指定输出文件名。
file1.v file2.v ...: 需要编译的 Verilog 源文件。
运行仿真
1 | vvp output_file |
output_file : iverilog 生成的输出文件
生成波形
在testbench文件中添加生成VCD文件的代码
编译并运行仿真生成1
2
3
4initial begin
$dumpfile("dump.vcd");
$dumpvars(0, top_module);
enddump.vcd
文件后,使用
gtkwave
查看波形: 1
gtkwave dump.vcd